You are on page 1of 37

Praktikum Rangkaian Logika

BAB II
RANGKAIAN LOGIKA DASAR

2.1.Tujuan
• Mengenal rangkaian-rangkaian logika
• Mengenal karakteristik dan simbol dari gerbang-gerbang logika
• Mengetahui tabel kebenaran dari gerbang dan rangkaian logika

2.2.Teori
Rangkaian logika adalah rangkaian yang menerapkan dasar-dasar logika
dalam pemakaiannya. Dasar-dasar logika adalah operasi yang menerapkan dua
kondisi yaitu HIGH atau “1” dan LOW atau “0”.
Umumnya rangkaian logika menggunakan gerbang-gerbang logika yang
terintegrasi dalam satu IC. Tetapi selain IC, rangkaian logika dapat dibangun dari
dari komponen-komponen elektronika seperti resistor, transistor, dioda, saklar,
dan relay. Dari rangkaian logika yang menggunakan komponen-komponen
tersebut, muncullah istilah RTL, DTL dan RDL. Sedangkan yang menggunakan
IC terdapat IC jenis TTL dan CMOS.

2.2.1. RTL, DTL dan RDL


RTL ( Resistor Transistor Logic ) merupakan rangkaian logika yang
menggunakan komponen – komponen resistor dan transistor. DTL ( Diode
Transistor Logic ) adalah rangkaian logika yang menggunakan diode dan
transistor sebagai pembangunnya. Sedangkan RDL ( Resistor Diode Logic )
menggunakan resistor dan diode sebagai pembangunnya.

2.2.2. IC TTL dan CMOS


Gerbang – gerbang logika seperti AND, OR dan NOT sudah terintregrasi
dalam satu chip IC. IC ini adalah jenis TTL dan CMOS. IC TTL berkode 74xxx
seperti 7400, 7404, 7432, dan lain – lain. Sedangkan IC CMOS biasanya berkode
40xx atau 45xx seperti 4000, 4011, 4511 dan sebagainya.
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

Gerbang – gerbang dasar logika AND, OR, dan NOT. Gerbang – gerbang
lainya merupakan pengembangan dari ketiga gerbang dasar tersebut. Misalnya
gerbang NAND merupakan perpaduan gerbang AND dan NOT. NAND sendiri
merupakan kependekan dari NOT – AND.

2.3. Peralatan Yang Digunakan


• Modul Percobaan Rangkaian Logika Dasar
• Jumper dan Kabel Penghubung

2.4. Langkah Percobaan


2.4.1. Percobaan AND RDL.
1. Menyusun rangkaian seperti gambar dibawah :

GAMBAR 2-1
RANGKAIAN AND RDL

2. Melakukan percobaan sesuai kombinasi logika yang ada pada tabel


2-1. mengisikan hasilnya pada tabel 2-1.
3. Menggambarkan diagram waktunya sesuai dengan hasil percobaan.

2.4.2. Percobaan OR RDL


1. Menyusun rangkaian seperti pada gambar dibawah ini :

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

GAMBAR 2-2
RANGKAIAN OR RDL

2. Melakukan percobaan sesuai kombinasi logika yang ada pada tabel


2-2. Mengisikan hasil outputnya pada tabel 2-2.
3. Menggambarkan diagram waktunya sesuai dengan hasil percobaan.

2.4.5. Percobaan NOT RTL


1. Menyusun komponen – komponen di modul seperti gambar dibawah
ini :

GAMBAR 2-3
RANGKAIAN NOT RTL

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

2. Menyalakan power modul dan output dari rangkaian yang


ditampilkan pada lampu L1 sesuai dengan masukkan dari saklar
SW1. Mencatat hasilnya pada tabel 2-3.
3. Mematikan power modul dan membuat diagram waktunya.

2.4.5. Percobaan NOR RTL


1. Menyusun komponen – komponen di modul seperti gambar dibawah
ini

GAMBAR 2-4
RANGKAIAN NOR RTL
2. Menyalakan power modul dan output dari rangkaian yang
ditampilkan pada lampu L1 sesuai dengan masukkan dari saklar
SW1 dan SW2. Mencatat hasilnya pada tabel 2-4.
3. Mematikan power modul dan membuat diagram waktunya.

2.4.5. Percobaan Gerbang NOT


1. Membuat hubungan untuk IC 7404 sebagai berikut :

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

GAMBAR 2-5
RANGKAIAN PERCOBAAN GERBANG NOT

2. Menyalakan power modul dan memperhatikan hasil outputnya pada


lampu L1 berdasarkan masukan dari saklar SW1. Memasukan
hasilnya pada tabel 2-5.
3. Mematikan modul dan membuat diagram waktunya.

2.4.6. Percobaan Gerbang AND


1. Membuat hubungan untuk IC 7408 sebagai berikut :

GAMBAR 2-6
RANGKAIAN PERCOBAAN GERBANG AND

2. Nyalakan power mudol dan perhatikan hasil outputnya pada lampu


L1 berdasarkan masukan dari saklar SW1 dan SW2. Masukan
hasilnya pada tabel 2-6.
3. Matikan modul dan membuat diagram waktunya.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

2.4.7. Percobaan Gerbang OR


1. Membuat hubungan untuk IC 7432 sebagai berikut :

GAMBAR 2-7
RANGKAIAN PERCOBAAN GERBANG OR
2. Menyalakan power modul dan memperhatikan hasil outputnya pada
lampu L1 berdasarkan masukan dari saklar SW1 dan SW2.
Memasukan hasilnya pada tabel 2-7.
3. Mematikan modul dan membuat diagram waktunya.

2.7. Tugas dan Pertanyaan


1. Apakah kepanjangan Dari IC TTL dan CMOS ? Sebutkan contohnya
dan fungsinya masing – masing 10 buah !
2. Apakah yang dimaksud dengan VIL, VIH, VOL dan VOH ?
3. Pada karakteristik IC TTL dan CMOS terdapat istilah Fan-in dan
Fan-in. Apakah maksudnya ? dan Bagaimana cara mendapatkan Fan-
in dan Fan-out dalam satuan UL ( unit load ) ?
4. Susunlah gerbang NAND dengan mengguanakan rangkaian DTL !
Tabel Kebenaran gerbang NAND :
INPUT OUTPUT
A B Y
0 0 1
0 1 1
1 0 1
1 1 0
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

5. Fungsi persamaan dari gerbang XOR adalah Y = A B + AB. Selain


didapatkan dalam IC 7486, juga dapat disusun dari beberapa IC
gerbang logika. Susunlah gerbang XOR tersebut secara skematis dan
buatlah tabel kebenarannya.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

BAB III
SISTEM BILANGAN BINER DAN FUNGSI LOGIKA

3.1. Tujuan
Untuk mempelajari fungsi – fungsi logika dan bilangan biner dengan
menggunakan gerbang – gerbang kombinasi.

3.2. Teori
3.2.1. Sistem Bilangan Biner
Biner adalah istilah bahasa Yunani yang berarti bersifat dua atau
pasangan. Sifat biner biasanya disimbolkan dengan angka 0 dan 1. Penentuan
simbol menunjukan jenis logika positif atau negatif. Jika “0” untuk
menyimpulkan keadaan rendah ( salah, tidak, padam ) dan “1” untuk tinggi (
benar, ya, nyata ) maka logika ini dinamakan logika positif dan sebaliknya untuk
keadaaan logika negatif.
Pada sistem bilangan biner, nilai bilangan sama dengan jumlah semua
nilai digit yang ditentukan oleh digit itu sendiri dan posisi dalam bilangan. Bobot
posisi bilangan biner dinyatakan dengan 2n dimana n adalah posisi ke – n dari
digit di dalam bilangan ( n = 0,1,2,3,……. Posisi mulai dihitung dari digit yang
berada paling kanan ).

3.2.2. Konversi Bilangan Biner Dari / Ke Bilangan Desimal


3.2.2.1.Konversi Bilangan Biner Ke Desimal
Dilakukan dengan mengalikan masing – masing digit dengan bobotnya
kemudian menjumlahkan semua.
Contoh :
101101 = ( 1x25 ) + ( 0x24 ) + ( 1x23 ) + ( 1x22 ) + ( 0x21 ) + ( 1x20 )
= 32 + 0 + 8 + 4 + 0 + 1
= 45

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3.2.2.2.Konversi Bilangan Desimal ke Biner


Dilakukan dengan membagi terus menerus bilangan tersebut dengan 2
sampai habis.
Contoh : bilangan 13
13 : 2 = 6,sisa 1
6 : 2 = 3,sisa 0
3 : 2 = 1,sisa 1
1 : 2 = 0,sisa 1
jadi 13 = 1101

3.2.3. Operasi Penjumlahan / Pengurangan Bilangan Biner


Operasi penjumlahan / pengurangan bilangan biner diselesaikan dengan
cara yang sama dengan operasi tersebut pada bilangan desimal.

3.2.2.1. Operasi Penjumlahan


Contoh : 3 + 1 = 4
3 = 0011
1 = 0001 +
4 = 0100

3.2.3.1. Operasi Pengurangan


Contoh : 8 – 6 = 2
8 = 1000
5 = 0110 -
2 = 0010

6– 8 = -2
6 = 0110
7 = 1000 -
-2 = ?
Kalau pengurangan lebih besar : pengurangnya dikomplementkan kemudian
lakukan penjumlahan, hasilnya kemudian dikomplementkan kembali.
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

6 = 0110
8 = 0111 -
-2 = 1101
komplemenkan hasil = 0010, baca = minus 0010

3.2.4. Operasi Logika Biner


3.2.4.1. Operasi Logika AND
Misalkan saklar dibentuk oleh sepasang relai A dan B untuk menyalakan
lampu Y. Hubungan kontak relai dan lampu ditunjukkan seperti gambar berikut :

GAMBAR 3–1
LOGIKA AND

Lampu Y akan menyala jika dan hanya jika kontak relai A dan B menutup.
Persamaan logikanya :

Y = A.B

3.2.4.2. Operasi Logika OR


Misalkan sekarang hubungan saklar untuk menyalakan lampu Y diubah
seperti gambar berikut.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

GAMBAR 3–2
LOGIKA OR
Lampu Y akan menyala jika saklar A tertutup atau saklar B tertutup atau kedua –
duanya tertutup.
Persamaan logikanya :

Y = A+B
3.2.4.3. Operasi Logika NOT
Ada satu kondisi dimana lampu Y yang diinginkan menyala pada saat
relai A sedang tidak aktif dan lampu Y padam jika relai diaktifkan.
Persamaan logikanya :

Y = A

GAMBAR 3-3
LOGIKA NOT

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3.2.5. Simbol Dan Persamaan logika


Ada tiga fungsi utama yang telah disebutkan sebelumnya yaitu : AND, OR
dan NOT. Untuk menjelaskan hal tersebut cukup hanya dengan menggunakan
simbol saja.

GAMBAR 3-4
SIMBOL GERBANG LOGIKA
Persamaan yang tertulis di bawah symbol, disebut persamaan rangkaian logika,
yang menyatakan hubungan antara out Y dengan inputnya.

3.3. Peralatan Yang digunakan


• Modul percobaan sistem bilangan biner dan fungsi logika
• Jumper dan kabel penghubung

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3.4. Langkah Percobaan


3.4.1. Percobaan Konversi Bilangan Biner Ke Desimal
1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-5
RANGKAIAN KONVERSI BILANGAN BINER KE DESIMAL
2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur
3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-1.

3.4.2. Percobaan konversi Bilangan Desimal ke Biner


1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-6
RANGKAIAN KONVERSI BILANGAN DESIMAL KE BINER
2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur
3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-2.
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

3.4.3. Percobaan Operasi Bilangan Biner


3.4.3.1. Operasi Penjumlahan
1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-7
RANGKAIAN OPERASI PENJUMLAHAN BILANGAN BINER

2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur


3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-3.
3.4.3.2. Operasi Pengurangan
1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-8
RANGKAIAN OPERASI PENGURANGAN BILANGAN BINER

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur


3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-4.

3.4.4. Percobaan Fungsi Logika


3.4.4.1. Logika AND
1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-9
RANGKAIAN LOGIKA AND

2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur


3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-5.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3.4.4.2. Logika OR
1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-10
RANGKAIAN LOGIKA OR

2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur


3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-6.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3.4.4.3. Logika Kombinasi


1. Menyusun rangkaian percobaan seperti pada gambar berikut :

GAMBAR 3-11
RANGKAIAN LOGIKA KOMBINASI

2. Memberikan kombinasi logika pada masukan sesuai petunjuk instruktur


3. Mengamati dan mencatat hasil untuk setiap kombinasi pada tabel 3-7.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3.7. Tugas dan Pertanyaan


1. Pada dasarnya rangkaian logika tidak sama dengan rangkaian linier,
Jelaskan apa yang dimaksud dengan rangkaian logika dan apa itu
rangkaian linier serta sebutkan perbedaan antara kedua rangkaian
tersebut!
2. Apabila dilihat sepintas, kedua rangkaian di bawah ini tidak sama
tetapi memiliki output yang sama. Buktikan bahwa output pada
rangkaian I dan rangkaian II adalah sama dengan menggunakan cara :
a. Aljabar Boole
b. Tabel Kebenaran

RANGKAIAN I

RANGKAIAN II

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

BAB IV
RANGKAIAN FLIP-FLOP

4.1. Tujuan
• Mengamati dan mempelajari cara kerja flip-flop R-S
• Mengamati dan mempelajari cara kerja flip-flop D
• Mengamati dan mempelajari cara kerja flip-flop J-K

4.2. Teori Dasar


Flip-flop ( FF ) adalah salah satu jenis rangkaian yang memiliki dua
keadaan stabil, sehingga dapat disebut juga dengan Multivibrator Bistabil.
Menurut macam bentuk rangkaiannya, terdapat beberapa jenis flip-flop, yaitu :
Flip-flop R-S, Flip-flop D, Flip-flop T dan Flip-flop J-K.

4.2.1. Flip-flop R-S


Flip-flop R-S memiliki dua masukan, masing-masing R ( Reset ) dan S (
Set ), dua keluaran yaitu Q dan Q ( komplemen Q ). Flip-flop R-S dapat
dirangkai dengan menggunakan gerbang NAND. Untuk men-set flip-flop ( Q = 1
), S harus = 0, sedangkan untuk me-reset flip-flop ( Q = 0 ), maka R harus = 0.

GAMBAR 4-1
RANGKAIAN FLIP-FLOP R-S

4.2.2. Flip-flop D
Flip-flop D mempunyai satu masukan Data ( D ) dan satu masukan Clock
( Clk ). Keluaran dari flip-flop D adalah Q dan Q . Flip-flop ini disebut juga flip-
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

flop ‘delay’, karena data yang masuk di D di-delay satu pulsa clock sebelum
“data” diteruskan ke Q.

GAMBAR 4-2
RANGKAIAN FLIP-FLOP D

4.2.3. Flip-flop J-K


Flip-flop jenis J-K terdiri dari mesukan J dan K untuk memasukan data,
masukan Clock untuk sinyal clock, serta keluaran Q dan Q . Flip-flop ini
merupakan flip-flop yang paling banyak dipergunakan. Flip-flop JK memiliki sifat
atau ciri yang sama dengan flip-flop sebelumnya.

GAMBAR 4-3
RANGKAIAN FLIP-FLOP JK

4.3. Peralatan Yang Digunakan


• Modul Percobaan Flip-flop
• Jumper dan Kabel Penghubung

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

4.4. Langkah Percobaan


4.4.1. Percobaan Rangkaian Flip-flop RS dengan Gerbang NAND
1. Menyusun rangkaian flip-flop seperti pada gambar 4-4 berikut ini :

GAMBAR 4-4
RANGKAIAN FLIP-FLOP RS DENGAN GERBANG NAND
2. Memberikan kombinasi logika pada masukan flip-flop sesuai
petunjuk instruktur.
3. Mengamati keluaran Q dan Q untuk setiap kombinasi tersebut dan
mencatat hasilnya pada tabel 4-1.
4. Mematikan modul percobaan dan menggambarkan diagram
waktunya.

4.4.2. Percobaan Rangkaian Flip-flop RS dengan Gerbang NOR


1. Menyusun rangkaian flip-flop seperti pada gambar 4-5 berikut ini :

GAMBAR 4-5
RANGKAIAN FLIP-FLOP RS DENGAN GERBANG NOR
2. Memberikan kombinasi logika pada masukan flip-flop sesuai
petunjuk instruktur.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

3. Mengamati keluaran Q dan Q untuk setiap kombinasi tersebut dan


mencatat hasilnya pada tabel 4-2.
4. Mematikan modul percobaan dan menggambarkan diagram
waktunya.

4.4.3 Percobaan Rangkaian Flip-flop D dengan Gerbang NAND


1. Membuat rangkaian flip-flop seperti pada gambar 4-6 dibawah ini :

GAMBAR 4-6
RANGKAIAN FLIP-FLOP D DENGAN GERBANG NAND
2. Memberikan kombinasi logika pada masukan flip-flop sesuai
petunjuk instruktur.
3. Mengamati keluaran Q dan Q untuk setiap kombinasi tersebut dan
mencatat hasilnya pada tabel 4-3.
4. Mematikan modul percobaan dan menggambarkan diagram
waktunya.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

4.4.4. Percobaan Rangkaian Flip-flop JK


1. Menyusun rangkaian flip-flop seperti pada gambar 4-7 berikut ini :

GAMBAR 4-7
RANGKAIAN FLIP-FLOP JK
2. Memberikan kombinasi logika pada masukan flip-flop sesuai
petunjuk instruktur.
3. Mengamati keluaran Q dan Q untuk setiap kombinasi tersebut dan
mencatat hasilnya pada tabel 4-4.
4. Mematikan modul percobaan dan menggambarkan diagram
waktunya.

4.7. Tugas dan Pertanyaan


1. Tuliskan cara kerja dari :
• Flip-flop RS
• Flip-flop D
• Flip-flop JK
2. Bandingkan sifat antara Flip-flop yang dibentuk dengan gerbang
NAND dengan Flip-flop RS yang dibentuk dengan gerbang NOR,
jelaskanlah jawaban saudara !

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

BAB V
RANGKAIAN PENCACAH

5.1. Tujuan
Dapat memahami fungsi pencacah dalam suatu aplikasi rangkaian logika.

5.2. Teori Dasar


Fungsi utama dari pencacah atau ( counter ) adalah untuk melakukan
pencacahan ( penghitungan ) suatu fungsi waktu. Aplikasi yang lain adalah
sebagai pembagi frekuensi, pengamatan dan sebagai unit memori.
Modulus dari suatu counter adalah jumlah state ( keadaan ) yang berbeda
yang harus dicapai counter dalam satu siklus pencacahannya. Jika counter
dirancang untuk menghitung bilangan biner dari 0000 sampai 1111 (
heksadesimal ) maka counter ini dikenal dengan counter modulo 16, karena
memiliki 16 keadaan ( State ) yang berbeda.
TABEL 5-1
URUTAN PENCACAH DARI SUATU COUNTER

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

Urutan pencacah untuk suatu counter modulo 10 adalah dari bilangan


biner 0000 sampai 1001. Counter modulo 10 mempunyai 4 bit, dengan urutan 8-4-
2-1.
Ada dua cara untuk melakukan pencacahan pada rangkaian counter, yaitu:
Up counter, counter yang melakukan pencacahan hitungan naik dan Down
counter, yang melakukan pencacahan dalam hitungan turun ( dari bilangan besar
menjadi bilangan yang lebih kecil ).

TABEL 5-2
URUTAN COUNTER SINKRON 3 BIT
Penggunaan yang umum dan menarik dari counter adalah untuk pembagi
frekuensi ( Frequency divider ). Suatu contoh pembagi adalah seperti yang
ditunjukan pada rangkaian berikut.

GAMBAR 5-1
1-SECOND TIME SYSTEM
Pada diagram rangkaian diatas frekuensi input dibagi dengan 6 ( enam )
(dengan counter modulo-6 ) dan kemudian dibagi dengan 10 ( sepuluh ) untuk
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

membentuk pembagi 60. Pada pembagi 6, masukan 60 pulsa akan menjadi 10


pulsa, selanjutnya dibagi dengan 10, sehingga menjadi 1 pulsa pada akhir
keluarannya.

5.3. Peralatan Yang Digunakan


• Modul Percobaan Rangkaian Pencacah
• Jumper dan Kabel Penghubung

5.4. Langkah Percobaan


5.4.1 Percobaan Pencacah BCD
1. Merangkai modul rangkaian pencacah seperti pada gambar 5-2
berikut ini :

GAMBAR 5-2
RANGKAIAN PERCOBAAN PENCACAH BCD
2. Memberikan kombinasi logika pada Inputnya sesuai dengan petunjuk
instruktur.
3. Kemudian mengumpankan juga pulsa detak berurutan pada masukan
Clock.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

4. Mengamati hasilnya pada keluaran QD, QC, QB, dan QA untuk


setiap pulsa Clock yang diberikan dan mencatat hasil pengamatan
tersebut pada tabel 5-3.

5.4.2. Percobaan Rangkaian Flip-flop RS dengan Gerbang NOR


1. Membuat rangkaian seperti pada gambar 5-3 dibawah ini :
2. Memberikan kombinasi logika pada inputnya sesuai dengan petunjuk
instruktur.
3. Kemudian mengumpankan juga pulsa detak berurutan pada masukan
Clock.
4. Mengamati hasilnya pada keluaran QD, QC, QB, dan QA untuk
setiap pulsa Clock yang diberikan dan mencatat hasil pengamatan
tersebut pada tabel 5-4.

GAMBAR 5-3
RANGKAIAN PERCOBAAN PENCACAH NAIK BINER

5.4.3. Percobaan Pencacah Turun Biner ( Down Counter )


1. Membuat rangkaian seperti pada gambar 5-4 dibawah ini :

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

GAMBAR 5-4
RANGKAIAN PENCACAH TURUN BINER

2. Memberikan kombinasi logika pada inputnya sesuai dengan petunjuk


instruktur.
3. Kemudian mengumpankan juga pulsa detak berurutan pada masukan
Clock.
4. Mengamati hasilnya pada keluaran QD, QC, QB, dan QA untuk
setiap pulsa Clock yang diberikan dan mencatat hasil pengamatan
tersebut pada tabel 5-5.

5.7. Tugas dan Pertanyaan


1. Buatlah pencacah BCD dengan menggunakan Flip-flop JK dengan
syarat pencacah tersebut akan menghitung mulai dari 0 ( nol ) sampai 9
( sembilan ), lalu kembali ke 0 ( nol ).
2. Buatlah rangkaian pencacah naik biner ( Up Counter ) dengan
menggunakan 4 buah flip-flop JK dan jelaskan cara kerjanya !
3. Buatlah rangkaian pencacah turun biner ( Down Counter ) dengan
menggunakan 4 buah flip-flop JK dan jelaskan juga cara kerja
rangkaian tersebut !

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

BAB VI
RANGKAIAN DEKODER DAN MULTIPLEKSER

6.1.Tujuan
• Dapat memahami fungsi suatu rangkaian dekoder
• Dapat memahami fungsi suatu rangkaian multiplekser

6.2.Teori Dasar
6.2.1. Dekoder
Dekoder adalah suatu rangkaian kombinasional yang mengkonversikan
informasi dari n jalur input ke m jalur output unit dengan m < 2” dan disebut jalur
n-ke-m. Nama dekoder juga dipergunakan untuk beberapa kode konverter seperti
misalnya BCD-to Seven Segment Decoder. Sebagai contoh berikut ini adalah
suatu rangkaian dekoder jalur 3-ke-8.

GAMBAR 6-1
DEKODER JALUR 3-KE-8
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

Salah satu fungsi dari decoder adalah mengkonversikan kode yang


diberikan inputnya k eke output sinyal yang eksklusif. Salah satu decoder
interfacing khusus adalah “Display Dekoder”. Merupakan suatu device yang
mengkonversikan BCD pada inputnya ke format output yang dibutuhkan untuk
mendrive suatu display numeric atau alfa numeric. Seperti kebanyakan decoder,
decoder BCD-to-7-segment merupakan device off-the-self. Pemilihan decoder
yang dipakai tergantung pada pemilihan 7-segment display. Apakah Common-
Anode atau Common-Cathode.
Suatu LED memiliki karakteristik dasar sebagai suatu dioda junction dan
akan menyala bila tegangan maju yang melewati ‘turn-on’nya (1,6 volt untuk Ga
As P dan 2,1 volt untuk Ga P). Pada gambar diperlihatkan suatu decoder / driver
BCD-to-7-segment 7447 A dihubungkan pada suatu common-anode LED 7-
segment display. Output dari decoder / driver 7447 A adalah aktif low kolektor
dan beroperasi di atas 30 volt dan 40 mA. Pemilihan nilai resistor R tergantung
pada tegangan supply dan tegangan serta arus operasi yang direkomendasikan
untuk LED dari 7-segment Display. Nilai resistor adalah sama.

GAMBAR 6-2
DISPLAY 7-SEGMENT
(a) HUBUNGAN COMMON ANODE DAN COMMON CATHODE
(b) LED SEGMENT
LABORATORIUM TEKNIK ELEKTRONIKA
ITN MALANG
Praktikum Rangkaian Logika

GAMBAR 6-3
RANGKAIAN DEKODER / DRIVER UNTUK 7-SEGMENT LED
DENGAN DISPLAY COMMON ANODE 7-SEGMENT LED

6.2.2. Multiplekser
Multipleksing berarti mentransmisikan sejumlah besar unit informasi
melalui sejumlah kecil kanal atau jalur. Suatu multiplekser digital merupakan
suatu kombinasional yang memilih informasi biner dan salah satu jalur input dan
meneruskannya ke jalur output tunggal. Pemilihan suatu jalur input tertentu
dikontrol oleh seperangkat jalur seleksi. Secara normal terdapat Zn jalur input dan
n jalur seleksi yang kombinasi bitnya menentukan input mana yang dipilih.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

GAMBAR 6-4
DIAGRAM LOGIKA, BLOK DIAGRAM
DAN TABEL FUNGSI MULTIPLEKSER

Setiap jalur dari keempat jalur (I0 sampai I3) merupakan salah satu input
dari gerbang AND tertentu. Tabel fungsi dari gambar menunjukkan hubungan
input-to-output untuk setiap kemungkinan kombinasi bit dari jalur seleksi. Seperti
halnya multiplekser juga memiliki input enable untuk mengontrol operasi dari
unit. Bila input enable memberikan state tertentu output akan disable, dan bila
memberikan enable state rangkaian akan berfungsi sebagai multiplekser yang
normal. Input enable (strobe) dapat digunakan untuk mengembangkan dua atau
lebih IC multiplekser menjadi multiplekser digital dengan sejumlah besar input.

6.3. Peralatan Yang Digunakan


• Modul Percobaan Dekoder dan Multiplekser
• Jumper dan Kabel Penghubung

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

6.4. Langkah Percobaan


6.4.1. Percobaan Dekoder
6.4.1.1. Percobaan Rangkaian BCD 1 of 10
1. Membuat rangkaian percobaan seperti pada gambar berikut ini :

GAMBAR 6 - 5
RANGKAIAN PERCOBAAN BCD 1 of 10
2. Memberikan kombinasi logika pada masukan sesuai petunjuk
instruktur.
3. Mengamati hasilnya untuk setiap kombinasi tersebut dan mencatat
hasilnya pada tabel 6-1.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

6.4.1.2. Percobaan Decade Counter


1. Membuat rangkaian percobaan seperti pada gambar berikut ini :

GAMBAR 6 – 6
RANGKAIAN PERCOBAAN DECADE COUNTER
2. Memberikan kombinasi logika pada masukannya sesuai petunjuk
instruktur.
3. Mengamati hasilnya untuk setiap kombinasi tersebut dan mencatat
hasilnya pada tabel 6-2.
4. Mengulangi langkah diatas untuk nilai masukan clock yang lain.
5. Mengamati dan mencatat hasilnya pada tabel 6 – 3.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

6.4.2. Percobaan Multiplekser


6.4.2.1. Percobaan Multiplekser
1. Membuat rangkaian seperti pada gambar dibawah ini :

GAMBAR 6 – 7
RANGKAIAN PERCOBAAN MULTIPLEKSER

2. Memberikan kombinasi logika pada masukannya sesuai petunjuk


instruktur.
3. Mengamati hasilnya untuk setiap kombinasi tersebut dan mencatat
hasilnya pada tabel 6 - 4.

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

6.4.2.2. Percobaan Rangkaian Boole Function Generator


1. Membuat rangkaian seperti pada gambar berikut ini :

GAMBAR 6 – 8
RANGKAIAN PERCOBAAN BOOLE FUNCTION GENERATOR

2. Memberikan kombinasi logika pada masukannya sesuai petunjuk


instruktur.
3. Mengamati hasilnya untuk setiap kombinasi tersebut dan mencatat
hasilnya pada tabel 6 – 5 .

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG
Praktikum Rangkaian Logika

6.7. Tugas dan Pertanyaan


1. Buatlah rangkaian Dekoder BCD – ke – 7 – segment beserta tabel
kebenarannya. Diketahui masukkannya :
a. A, B, C, D
b. RBI ( ripple blanking input )
c. BI ( blanking input )
d. LT ( lamp-test input )
2. Buatlah rangkaian multiplekser – 16 masukan lengkap dengan tabel
kebenarannya yang memenuhi persamaan berikut :
F = ABCD + AB CD + A B C D + A BC D + A BC D + AB CD +
ABCD

LABORATORIUM TEKNIK ELEKTRONIKA


ITN MALANG

You might also like